Proactive Thermal Management using Memory-based Computing in Multicore Architectures cover image

Proactive Thermal Management using Memory-based Computing in Multicore Architectures


Subodha Charles Hadi Hajimiri Prabhat Mishra


International Green and Sustainable Computing Conference (IGSC), Pittsburgh, October 22-24, 2018


Abstract

Reliability is a major concern in modern electronic systems due to high defect rates and large parametric variations. A major contributor to reliability concerns is the potential thermal violations due to increasing transistor count coupled with the high clock rate in multicore System-on-Chip (SoC) designs. Dynamic thermal management is widely used to reduce the SoC temperature. Early work on using memory-based computing has shown promising results in improving SoC reliability when few functional units are defective or unreliable under process-induced or thermal variations. However, there are no prior efforts to explore the effectiveness of MBC for thermal management in multicore architectures. In this paper, we present a novel dynamic thermal management technique using proactive memory-based computing to reduce the peak temperature of applications in multicore architectures. The basic idea is to proactively transfer the profitable instructions with frequent operand pairs to memory. Experimental results demonstrate that the proposed computing in memory can significantly decrease the peak temperature to improve the SoC reliability with minor impact on performance.


View Full Paper

IEEEXplore

PDF


Citation


@inproceedings{charles2018proactive,
  title={Proactive thermal management using memory-based computing in multicore architectures},
  author={Charles, Subodha and Hajimiri, Hadi and Mishra, Prabhat},
  booktitle={2018 Ninth International Green and Sustainable Computing Conference (IGSC)},
  pages={1--8},
  year={2018},
  organization={IEEE}
}